Gambarkan dan jelaskan rangkaian logika penjumlahan half adder

Rangkaian Adder [penjumlah] adalah rangkaian elektronika digital yang digunakan untuk menjumlahkan dua buah angka [dalam sistem bilangan biner], sementara itu di dalam komputer rangkaian adder terdapat pada mikroprosesor dalam blok ALU [Arithmetic Logic Unit]. Sistem bilangan yang digunakan dalam rangkaian adder adalah:

  1. Sistem bilangan Biner [memiliki base/radix 2]
  2. Sistem bilangan Oktal [memiliki base/radix 8]
  3. Sistem bilangan Desimal [memiliki base/radix 10]
  4. Sistem bilangan Hexadesimal [memiliki base/radix 16]

Tujuan

  1. Agar mahasiswa mampu memahami cara kerja rangkaian half adder dan full adder
  2. Agar mahasiswa mampu membuat rangkaian half adder dan full adder dari rangkaian kombinasi gerbang logika dasar.

Namun, diantara ke empat sistem tersebut yang paling mendasar adalah sistem bilangan biner, sementara itu untuk menerapkan nilai negatif, maka digunakanlah sistem bilangan complement. BCD [binary-coded decimal].

Note! - Agar kalian bisa memahami konsep dasar rangkaian adder, maka kuasai terlebih dahulu teknik konversi antara sistem bilangan di atas. Selengkapnya bisa Anda baca di SINI.

Perbincangan mengenai adder biasanya dimulai dari half-adder lalu-full adder setelah itu adalah ripple-carry-adder.

Half-adder

Berdasarkan dua input, yaitu A dan B, maka outpunya adalah S[sum], S atau sum ini akan dihitung berdasarkan implementasi operasi logika XOR dari A dan B. Selain Output S[sum], masih ada lagi output lain yang kita kenal dengan C[carry], nah sedangkan output C[carry] ini dihasilkan dari implementasi operasi logika AND.

Prinsipnya adalah OUTPUT S itu menyatakan hasil penjumlahan input A dan B, sedangakan C adalah menyatakan MSB [most significant bit atau carry bit] dari penjumlahan tersebut.

Full-adder

Berdasarkan dua input seperti di atas [half-adder], maka prinsip kerjanya juga sama seperti half-adder, hanya saja Full-adder mampu menampung carry dari hasil penjumlahan sebelumnya. Sehingga dengan adanya carry tersebut, maka jumlah inputnya sewaktu-waktu bisa jadi 3 [tergantung kondisi carrynya, apakah aktif/tidak].

Langkah Praktikum

  1. Siapkan peralatan dan bahan yang dibutuhkan.
  2. Mengimplementasikan skema rangkaian yang akan diuji ke dalam project board dan pastikan semua sambungan dan pengkabelan baik dan benar.
  3. Sambungkan adaptor ke stop kontak, kemudian cek keluaran dari adaptor tersebut.
  4. Berikan input dengan logika 0 dengan cara menyambungkan input ke ground dan 1 dengan cara menyambungkan input ke catu daya positif [+5v] 
  5. Lakukan langkah 6 sesuai dengan kebutuhan tabel kebenaran.
  6. Amati perubahan LED dan catat hasilnya pada tabel hasil praktikum.
  7. Ulangi langkah 2 – 6 pada rangkaian praktikum yang lain.
  8. Selesai.

PERCOBAAN I [Half Adder]

Tabel Kebenaran

Berikut adalah Demo Half Adder untuk mengetahui tabel kebenaran di atas.

Jika kalian ingin mempraktekan sendiri, silakan bisa download rangkaiannya di HALF ADDER.ms11[60KB]

PERCOBAAN 2 [Full Adder]

Tabel Kebenaran

Berikut adalah Demo Full Adder untuk mengetahui tabel kebenaran di atas.

Jika kalian ingin mempraktekan sendiri, silakan bisa download rangkaiannya di FULL ADDER.ms11[64KB]

Evaluasi & Kesimpulan

PERCOBAAN 1

Berdasarkan teori dasar dan hasil pengamatan percobaan, maka rangkaian Half Adder dapat disusun menggunakan IC TTL pembentuk X-OR dan IC TTL pembentuk AND, dimana output XOR GATE sebagai SUM, sedangkan output AND GATE sebagai CARRY. Sementara itu tabel kebenarannya menunjukan bahwa, jika kondisi kedua input adalah high[1], maka carry out akan high[1] juga dan jika hanya salah satu input saja yang berkondisi high, maka output high[1] hanya sampai pada SUM.

PERCOBAAN 2

Berdasarkan teori dasar dan hasil pengamatan percobaan, maka rangkaian Full Adder dapat disusun menggunakan 2 gerbang X-OR, 2 gerbang AND dan 1 gerbang OR, dimana prinsipnya hampir sama dengan Half Adder yaitu "saat kedua input high[1], maka output high akan berada di CARRY, sedangkan jika salah satu input high, maka output high akan berada di SUM. Sementara itu dikarenakan full adder memiliki 3 input, maka jika semua[ketiga] input berkondisi high[1], maka semua output full adder akan high[1] juga.

Referensi

Modul STMIK El Rahma Yogyakarta [Oleh: Eko Yunianto / Ecko Anto. Blog: //penakuliah.wordpress.com]

Dalam dunia elektronika, sebuah adder atau summer [penjumlah] merupakan suatu rangkaian digital yang melakukan proses penjumlahan dari bilangan-bilangan yang ada. Dalam banyak komputer dan berbagai jenis processor, adder tidak hanya digunakan dalam ALU [Arithmetic Logic Unit], tapi juga digunakan dalam bagian processor lainnya, dimana digunakan untuk menghitung alamat, tabel, dan berbagai operasi lainnya.

Meski adder dapat dirangkai untuk berbagai keperluan representasi bilangan, semisal BCD [Binary Coded Decimal] atau Excess-3, yang mana kebanyakan adder digunakan untuk operasi binangan binary. Dalam kasus dimana two's complement dapat digunakan untuk merepresentasikan suatu bilangan negatif.

Half Adder

Penjumlah separuh, half adder [HA], menjumlahkan dua buah nilai binary A dan B, dengan dua buah output, yakni sum dan carry. Untuk nilai carry merepresentasikan overflow dalam digit selanjutnya dari penjumlahan dengan banyak digit. Nilai dari sum adalah 2C + S [2 carry + 1 sum], nilai carry tidak disertakan dalam penjumlahan. Untuk rangkaian half adder secara sederhana tersusun atas kombinasi gerbang logika XOR dan AND. Dengan input A dan B melalui gerbang XOR menghasilkan output S. Sementara input A dan B yang melewati gerbang logika AND menghasilkan output C. Berikut ini adalah tabel kebenaran dan gambar rangkaian half adder.

Dua buah half adder dapat digabungkan untuk membentuk full adder. Dengan menambahkan gerbang logika OR untuk output carry, dua buah half adder dapat disusun menjadi full adder.

Full Adder

Rangkaian full adder, penjumlah penuh, menjumlahkan bilangan binary dengan menyertakan nilai carry dalam penjumlahannya. Sebuah full adder sederhana terdiri dari tiga buah input, yang biasa untuk memudahkan disebut input A, B, dan Cin. Dengan A dan B merupakan input operand yang ada, sedangkan Cin merupakan nilai bit carry dari langkah sebelumnya. Sebuah full adder biasanya merupakan komponen penyusun dalam penjumlah bertingkat, cascade, yang mana menjumlahkan baik 8 bit, 16 bit, 32 bit, dan lain sebagainya. Sama seperti half adder, rangkaian ini menghasilkan dua buah output yakni sum dan carry, yang masing-masing direpresentasikan dengan S dan Cout. Dimana sum = 2 X Cout + S. Berikut ini adalah rangkaian dan tabel kebenaran dari full adder satu bit.

Lihat juga mengenai penjelasan gerbang logika.


  • Gerbang Logika Gerbang logika [Gerbang Logik] adalah suatu entitas dalam elektronika dan matematika Boolean yang mengubah satu atau beberapa masukan logika menjadi sebuah sinyal keluaran logika. Gerbang logika terutama diimplementasikan secara elektronis menggunakan diode atau transistor, akan tetapi dapat pula dibangun menggunakan susunan komponen-komponen yang memanfaatkan sifat-sifat elektromagnetik [relay], cairan, optik dan bahkan mekanik. Terdapat 3 gerbang logika dasar yaitu : Gerbang AND, Gerbang OR, dan Gerbang NOT, ketiga gerbang tersebut menghasilkan gerbang lainnya yaitu : Gerbang NAND, Gerbang NOR, Gerbang XOR dan Gerbang XNOR. Berikut simbol dan tabel kebenaran

  • Rangkaian Adder
    Rangkaian adder / penjumlah adalah rangkaian yang biasanya berada dalam processor, tepatnya dalam ALU [Arithmetic Logic Unit] Seperti kita tahu bahwa processor menggunakan basic bilangan digital binary untuk melakukan penghitungan sebuah proses,ada proses penghitungan aritmatik [menambah, mengurang, mengali dan membagi] dan ada pula proses menghitung logic [and, or, not, dst].
  • Half Adder
    Half Adder adalah rangkaian elektronik yang bekerja melakukan perhitungan penjumlahan dari dua buah bilangan binary, yang masing-masing terdiri dari satu bit. Rangkaian ini memiliki dua input dan dua buah output, salahsatu outputnya dipakai sebagai tempat nilai pindahan dan yang lain sebagai hasil dari penjumlahan

Gambar tabel kebenaran Half Adder Ket : 1 = Benar 0 = Salah

ada saat A dan B =  1 maka Sum adalah 0 dan Carry menjadi 1

  • Kesimpulan half adder
    Half Adder adalah suatu rangkaian penjumlahan sistem bilangan biner yang palingsederhana. Rangkaian ini hanya dapat digunakan untuk operasi penjumlahan data bilangan biner sampai 1bit saja. Rangkaian Half Adder memiliki 2 terminal input untuk 2 variabel bilangan biner clan 2 terminal output,yaitu SUMMARY OUT [SUM] dan CARRY OUT [CARRY]
  • Full Adder
    Full adder adalah  rangkaian elektronik yang bekerja melakukan perhitungan penjumlahan sepenuhnya dari dua buah bilangan binary, yang masing-masing terdiri dari satu bit. Rangkaian ini memiliki tiga input dan dua buahoutput, salah satu input merupakan nilai dari pindahan penjumlahan, kemudian sama seperti pada half adder salah satu outputnya dipakai sebagai tempat nilai pindahan dan yang lain sebagai hasil dari penjumlahan.

Gambar tabel kebenaran Full Adder

Ket : 1 = Benar 0 = Salah

Jika setiap elemen yang dihubungkan salah satu ada yang Benar/[1] maka pernyataan pada percobaan Rangakaian Full Adder ini menunjukan pernyataan Benar/[1]

  • Full Adder adalah sebuah rangkaian digital yang melaksanakan operasi penjumlahan aritmetikadari 3 bit input. Full adder terdiri dari 3 buah input dan 2 buah output. Variabel input dari Fulladder dinyatakan oleh variabel A, B dan C in. Dua dari variabel input [ A danB ] mewakili 2 bitsignifikan yang akan ditambahkan, input ketiga, yaitu C mewakili Carry dari posisi yang lebihrendah. Kedua output dinyatakan dengan simbol S [Sum] danCn [Carry]. Rangkaian Full-Adder mampu menampung bilangan Carry dari hasil penjumlahan sebelumnya.Jadi jumlah inputnya ada 3: A, B dan Ci, sementara bagian output ada 2: S dan Co. Ci ini dipakai untuk menampung bit Carry dari penjumlahan sebelumnya.Full adder biasanya dapatmenjumlahkan banyak bilangan biner dimana 8, 16, 32, dan jumlah bit biner lainnya. Pada Sum digunakan gerbang logika Ex-OR dan pada carry digunakan gerbang logika AND dan menggunakan gerbang logika OR untuk menjumlahkan tiap-tiap carry.2.Tabel Kebenaran FULL ADDER mempunyai tiga input.Rangkaian di bawah ini menunjukan rangkaian FULLADDER yang disertai dengan truth tablenya.
  • Kesimpulan Full Adder:
    Full Adder dapat digunakan untuk menjumlahkan bilangan-bilangan biner yang lebih dari 1bit. Penjumlahan bilangan-bilangan biner sama halnya dengan penjumlahan bilangan decimal dimana hasil penjumlahan tersebut terbagi menjadi 2 bagian, yaitu SUMMARY [SUM] dan CARRY, apabila hasil penjumlahan pada suatu tingkat atau kolom melebihi nilai maksimumnya maka output CARRY akan berada pada keadaan logika 1.

JANGAN LUPA KLIK LINK DI BAWAH UNTUK ABSEN YAA…

//forms.gle/coVLagCGazT5HEDs5

Video yang berhubungan

Bài mới nhất

Chủ Đề